LAPORAN GERBANG LOGIKA


PRAKTIKUM ELEKTRONIKA DIGITAL
“GERBANG LOGIKA”

Description: D:\CAMPUS\DATA\index.png




NAMA               :  NUR IKA SANDI PRATIWI
NIM                   :  A1C317016
KELOMPOK   :  1 (SATU)







LABORATORIUM PENDIDIKAN FISIKA
FAKULTAS KEGURUAN DAN ILMU PENDIDIKAN
UNIVERSITAS JAMBI
2019

GERBANG LOGIKA
I.     TUJUAN   :
1.    Dapat mengenal beberapa IC yang mengandung gerbang logika.
2.    Dapat membuat rangkaian gerbang logika dengan menggunakan IC gerbang logika.
3.    Dapat membuat tabel kebenaran untuk rangkaian gabungan gerbang logika dibuat dengan IC gerbang logika.

II.      LANDASAN TEORI
Pada tahun 1854 George Boole menciptakan logika simbolik yang sekarang dikenal dengan aljabar Boolean. Setiap peubah (variabel) dalam aljabar Boole hanya memiliki dua keadaan atau dua harga yaitu keadaan benar yang dinyatakan dengan 1 dan keadaan salah yang dinyatakan dengan 0. Aljabar Boole yang memiliki dua keadaan semula dimaksudkan untuk menyelesaikan persoalanpersoalan logika ( Widjanarka, 2006:2).
Gerbang logika yang kini sering dipakai berasal dari IC logika seperti 74xx atau 40xx. Satu IC berisi 4-8 gerbang logika kini hanya berharga beberapa ribu rupiah, namun IC yang dibuat dengan teknologi tinggi seperti prosessor intel Pentium, memiliki berjuta-juta gerbang logika, yang harga tiap gerbang logika menjadi hanya beberapa ribu rupiah. Semua chip kompleks yang melakukan pemrosesan sinyal secara digital dapat dipastikan menggunakan gerbang-gerbang logika pada detail ringkasannya (Irwansyah, 2009:77).
Logic gates is a digital circuit with one more input voltage but only one output voltage. By connecting the different gates in different ways, we can build circuits that performs arithmetic and other function associated with the human brain because they simulate mental process. The operation of a logic gate can be easily understood with the help of “truth table”. A truth table is a table that 41 shows all the input-output possibilities of a logic circuit ; i.e. the truth table indicates the outputs for different possibilities of the inputs (Godse, 2009:3).
Rangkaian logika terbentuk dari hubungan beberapa gerbang (gate) logika. Rangkaian logika bekerja secara digital. Output dari suatu rangkaian logika ditentukan oleh karakterisitik dan hubungan dari gerbang-gerbang yang digunakan. Berikut ini akan dibahas gerbang logika yang umum digunakan di dalam suatu rangkaian logika. Gerbang (gate) logika adalah suatu rangkaian digital yang mempunyai satu atau lebih input dan hanya mempunyai satu output Output gerbang logika ini tergantung sinyal yang diberikan pada input-nya. Hal ini dapat kita lihat pada persamaan aljabar Boole dan tabel kebenaran yang dimiliki oleh setiap gerbang logika. Aljabar Boole juga memberikan persamaan untuk setiap gerbang serta memberi simbol untuk operasi gerbang tersebut. Suatu rangkaian digital dapat dibangun dari sejumlah gerbang logika. Dari persamaan untuk setiap gerbang dan tabel kebenaran tiap gerbang logika, maka dengan menggabungkan beberapa gerbang ini akan didapat operasi logika sesuai dengan keinginan dan tujuan yang diharapkan sehingga terbentuklah suatu rangkaian digital yang akan membangun sistem yang diinginkan. Adapun gerbang logika dasar adalah NOT, AND dan OR. Sedangkan gerbang NAND, NOR, XOR, XNOR merupakan gerbang yang dibentuk dari gabungan beberapa gerbang dasar (Malvino, 1983:23).
Menurut Albert dan Tjia (1994:245), terdapat beberapa contoh gerbang logika dasar, diantaranya adalah sebagai berikut:
1.    Gerbang AND, merupakan gerbang logika yang penulisan aljabar boole biasanya dilambangkan dengan perkalian.
2.    Gerbang OR, merupakan gerbang logika yang dalam penulisan aljabar boole biasanya dilambangkan dengan penjumlahan .
3.    Gerbang NOT, merupakan gerbang logika yang dapat menjadi pembalik fungsi logika dari gerbang logika lainnya. Gerbang logika NOT dilambangkan dengan BAR.
III.   ALAT DAN KOMPONEN
1.      Laptop
2.      Software  Proteus 8 Professional

IV.   PROSEDUR KERJA
1.      Buka aplikasi Proteus 8 Professional dengan cara meng-klik dua kali aplikasi tersebut.
2.      Klik tulisan ISIS pada bagian aplikasi tersebut.
3.      Klik tulisan P yang berwarna biru.
4.      Lalu, pada kolom keyword, ketik tulisan OR, lalu enter. Lakukan hal yang sama untuk AND, NAND, NOT, dan NOR.
5.      Ketik tulisan LOGICSTATE dan LOGICPROBE (BIG) pada kolom keyword. Lalu tekan enter.
6.      Buat rangkaian dari gerbang logika OR, AND, NAND, NOT, dan NOR.
7.      Bagian input dihubungkan dengan LOGICSTATE dan bagian output dihubungkan dengan LOGICPROBE (BIG).
8.      Klik tombol play untuk melihat outputnya.
9.      Catat hasil output pada tabel kebenaran dari masing-masing gerbang logika.
10.  Setelah itu, buatlah rangkaian yang terdiri dari gabungan seluruh gerbang logika. Lakukan dari langkah 5-9.

V.      HASIL
1.      Gerbang AND
A
B
Y
0
0
0
0
1
0
1
0
0
1
1
1

2.      Gerbang NAND
A
B
Y
0
0
1
0
1
1
1
0
1
1
1
0

3.      Gerbang OR
A
B
Y
0
0
0
0
1
1
1
0
1
1
1
1


4.      Gerbang NOR
A
B
Y
0
0
1
0
1
0
1
0
0
1
1
0

5.      Gerbang NOT
Input
Output
0
1
1
0

6.      Gerbang AND, NAND, OR, NOR, dan NOT
Input
Output
0
0
0
0
1
1
0
0
0
0
0
1
0
0
0
0
0
1
0
1
0
0
0
1
1
1
1
0
0
0
1
1
1
0
0
1
1
1
1
1
0
1
1
1
1
0
0
1
1
1
0
1
1
0
0
0
1
0
1
0
1
0
1
0
0
1
0
0
1
0
1
1
0
1
0
1
0
1
1
1

VI.        PEMBAHASAN
Pada praktikum kali ini, kami melakukan percobaan tentang gerbang logika. Tujuan praktikum ini adalah untuk dapat mengenal beberapa IC yang mengandung gerbang logika, dapat membuat rangkaian gerbang logika dengan menggunakan IC gerbang logika, dan dapat membuat tabel kebenaran untuk rangkaian gabungan gerbang logika dibuat dengan IC gerbang logika. Adapun alat  yang digunakan yaitu laptop dan aplikasi Proteus 8 Professional.
Gerbang (gate) logika adalah suatu rangkaian digital yang mempunyai satu atau lebih input dan hanya mempunyai satu output. Output gerbang logika ini tergantung sinyal yang diberikan pada inputnya. Gerbang logika adalah rangkaian dasar yang membentuk computer. Jutaan transistor didalam mikroprosesor membentuk gerbang logika. Sebuah gerbang logika sederhana mempunyai satu terminal input. Keluarannya dapat tingggi/High (I) atau rendah/Low (0) tergantung level digital yang diberikan pada terminal input. Praktikum kali ini bertujuan untuk mengenal beberapa IC gerbang logika, membuat rangkaian gerbang logika, dan membuat table kebenarannya. Praktikum ini bertujuan untuk mendemonstrasikan bagaimana suatu gerbang logika bekerja. Gerbang logika yang digunakan yaitu gerbang OR, AND, NAND, dan NOR.
Pada  percobaan, pertama-tama kita masukkan jenis-jenis gerbang logika ke dalam proteus, kemudian membuat rangkaian. Gerbang logika pertama adalah gerbang AND yang mempunyai dua sinyal masukan tetapi hanya menghasilkan satu sinyal keluaran. Pada gerbang ini, bila sinyal keluaran tinggi, maka semua sinyal masukan harus tinggi. Adapun hasil percobaan yang dilakukan sesuai dengan teori dalam tabel kebenaran. Hasil input 0 dan 0 menghasilkan output 0, input 0 dan 1 atau 1 dan 0 menghasilkan output 0, serta input 1 dan 1 menghasilkan output 1.
Percobaan kedua, adalah gerbang NAND yang merupakan kombinasi dari gerbang NOT dan AND, dimana output akan 0 apabila input 1, untuk salah satu input 1, maka output 1, begitupun input 0 maka output 1. Adapun hasil sesuai dengan teori dalam tabel kebenaran. Hasilnya input 0 dan 0 menghasilkan output 1, input 0 dan 1 atau 1 dan 0 menghasilkan output 1, dan input 1 dan 1 menghasilkan output 0.
Percobaan ketiga, adalah gerbang OR yang mempunyai dua sinyal masukan tetapi hanya menghasilkan satu sinyal keluaran. Pada gerbang ini, bila salah satu dari sinyal masukan tinggi (1), maka sinyal keluaran akan menjadi tinggi (1). Adapun hasil percobaan yang dilakukan sesuai dengan teori dalam tabel kebenaran. Hasil input 0 dan 0 menghasilkan output 0, input 0 dan 1 atau 1 dan 0 menghasilkan output 1, serta input 1 dan 1 menghasilkan output 1.
Percobaan keempat, adalah gerbang NOR yang merupakan kombinasi dari gerbang NOT dan OR, dimana output akan 1 apabila input 0, untuk salah satu atau kedua input 1 maka output 0. Adapun hasil sesuai dengan teori dalam tabel kebenaran. Hasilnya input 0 dan 0 menghasilkan output 1, input 0 dan 1 atau 1 dan 0 menghasilkan output 0, dan input 1 dan 1 menghasilkan output 0.
Percobaan kelima, adalah gerbang NOT yang mempunyai sebuah masukan dan menghasilkan sebuah keluaran. Pada gerbang ini, hanya membalik sinyal masukan, dimana jika masukan 1 (tinggi) maka keluarannya adalah 0 (rendah) dan sebaliknya. Adapun hasil percobaan yang dilakukan sesuai teori dalam tabel kebenaran gerbang NOT. Hasilnya input 1 output 0 dan input 0 output 1.
Adapun percobaan keenam, adalah rangkaian gerbang logika yang menggabungkan seluruh jenis gerbang logika, yaitu NOT, AND, NAND, OR, dan NOR. Dimana inputnya adalah gerbang NOR dan NAND sehingga terdapat 4 sinyal masukan yang disambung lagi dengan gerbang NOT dan AND terdapat 3 sinyal masukan dan disambung lagi dengan gerbang OR sehingga terdapat 2 masukan. Adapun hasil percobaan, saat input 0,0,0,0 output 1, saat input 1,0,0,0 output 0, saat input 0,1,0,0 output 0, saat input 0,0,1,0 output 1, saat input 0,0,0,1 output 1, saat input 1,1,0,0 output 0, saat input 1,1,1,0 output 0, saat input 0,1,1,1 output 1, saat input 0,0,1,1 output 1, saat input 0,1,1,0 output 0, saat input 0,1,0,1 output 0, saat input 1,0,1,0 output 0, saat input 1,0,0,1 output 0, saat input 1,1,0,1 output 0, saat input 1,1,1,1 output 1, dan saat input 1,0,1,1 output 1. Adapun untuk membuat rangkaian gerbang logika menggunakan persamaan Boolean, dimana terlebih dahulu disederhanakan sampai sesederhana mungkin agar mendapatkan output yang tepat.



VII.     KESIMPULAN
Berdasarkan percobaan yang telah dilakukan, didapatkan kesimpulan bahwa:
1.    Gerbang logika adalah suatu rangkaian digital yang mempunyai satu atau lebih input tapi hanya menghasilkan satu output yang bergantung pada sinyal yang diberikan.
2.    Rangkaian gerbang OR menggunakan IC 74LS32, gerbang AND menggunakan IC 74LS08, gerbang NAND menggunakan IC 74LS00, dangerbang NOR menggunakan IC 74LS02.
3.    Tabel kebenaran adalah tabel yang berisikan variabel input (masukan) yang menghasilkan output (keluaran) logis dari suatu sinyal elektronika berupa high (1) atupun low (0).

DAFTAR PUSTAKA

Albert, Paul dan Tjia. (1994). Elektronika Digital Komputer dan Pengantar Komputer Jilid 2. Jakarta: Erlangga.
Malvino. (1983). Elektronika dan Instrumentasi. Jakarta: Erlangga.
Irwansyah, Muhammad. (2009). Gerbang Logika Berbasis Programmable Logic Device (PLD), 1(1).
Godse. (2009). Digital Logic Circuits. India: Technical Publications Pune.
Widjanarka, N. Wijaya. (2006). Teknik Digital. Jakarta: Erlangga.

LAMPIRAN GAMBAR

1.    Rangkaian Gerbang Logika OR

2.    Rangkaian Gerbang Logika AND

3.    Rangkaian Gerbang Logika NAND

4.    Rangkaian Gerbang Logika NOR

5.    Rangkaian Gerbang Logika NOT

6.    Rangkaian Gerbang Logika OR, AND, NAND, NOR, dan NOT



Komentar

Postingan populer dari blog ini

cara mengembangkan potensi peserta didik

tugas-tugas perkembangan remaja